Package: coriolis-eda Version: 2.5.5-1+195.2 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 463760 Depends: libboost-program-options1.74.0 (>= 1.74.0+ds1), libbz2-1.0, libc6 (>= 2.34), libgcc-s1 (>= 3.0), libqt5core5a (>= 5.15.1), libqt5gui5 (>= 5.8.0) | libqt5gui5-gles (>= 5.8.0), libqt5printsupport5 (>= 5.0.2), libqt5widgets5 (>= 5.2.0), libqwt-qt5-6 (>= 6.1.2), libstdc++6 (>= 12), python3-doit Filename: amd64/coriolis-eda_2.5.5-1+195.2_amd64.deb Size: 99526472 MD5sum: 08d19a75cbfd76b40ca06fd7f2c9af85 SHA1: cf0e9bd710fb45cd97940a2b4dd5bfc78263b5d9 SHA256: 621b4291dacf00a7e20ba6d6caaedfe30d92791cbfd2d4ea37c8f661aa2d7f43 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: A FOSS EDA tool suite for physical design. Provides an integrated place & route for ASICs. Support symbolic layout (Alliance) and real layout (GDS). While mainly written in C++, it has an extensive Python interface. Package: coriolis-eda-dev Source: coriolis-eda Version: 2.5.5-1+195.2 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 32 Filename: amd64/coriolis-eda-dev_2.5.5-1+195.2_amd64.deb Size: 6916 MD5sum: fde5911e4c4181f22dca4ca3d25f1ad9 SHA1: a8245a409bec451794fa11805ba015259d0b3ef0 SHA256: 442aae16cce51d8107ed35bda58e2e6ed5a90e19d826c8b93d5f9dd01b51506c Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Development files for the Coriolis EDA toolchain. Package: coriolis-eda-doc Source: coriolis-eda Version: 2.5.5-1+195.2 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 64324 Filename: amd64/coriolis-eda-doc_2.5.5-1+195.2_amd64.deb Size: 12370472 MD5sum: 323f08111f2cdd47c8fd565eb22a9d3e SHA1: 3faa8b6e4be6de91c671ad9a69054cf95793ebff SHA256: 8c9e5881d3cabcfe6fb4b9269ec1e168d527b9838502c899384ce38214f5006d Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: This documentation is a subset of the Coriolis website. It provides informations for: - The Hurricane database (C++). - The Coriolis Core (CRL) infrastructure (C++). - The dedicated C++/Python wrapper (Isobar,C/C++/Python). The Python interface has been made so that it mimics closely the C++ one, so the C++ doc can be used for both. - Some technical specifications. - A general introduction and user's manual. Package: coriolis-pdk-ihpsg13g2 Version: 2024.10.15-1+23.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 186050 Depends: coriolis-eda Filename: all/coriolis-pdk-ihpsg13g2_2024.10.15-1+23.1_all.deb Size: 20019756 MD5sum: 854b8e21d3663ce2fb35ed75954f05a6 SHA1: 942a3d666790a49b06c8c000e85a1b933e676163 SHA256: 9424f17f118d5dd5813e3f4aa2c7725c60d289585b6592b639f7b1ce476f4972 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-ihpsg13g2 Version: 2024.10.15-1+27.3 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 187023 Depends: coriolis-eda Filename: all/coriolis-pdk-ihpsg13g2_2024.10.15-1+27.3_all.deb Size: 20186080 MD5sum: a64fcc2d365025ac0ef81acb19912520 SHA1: bd63709c3a585300f0a8ef7a8c03dee6b25c0645 SHA256: be526748d7b82a67c5bddc15bcac806dd4d4969c970ba2e4c66b4369c970dda1 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-ihpsg13g2-c4m Version: 2024.10.15-1+29.3 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 7340 Depends: coriolis-pdk-ihpsg13g2, yosys Filename: all/coriolis-pdk-ihpsg13g2-c4m_2024.10.15-1+29.3_all.deb Size: 324280 MD5sum: 28d0fceffbdb03016772ac3c85c82e2a SHA1: 1d9af38c16a24e2e8c7afa81efb9df8f33530a31 SHA256: a170e774a7d06d8e96cd916184baee8b32f622648a5042685135e2ed084263c1 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: klayout Version: 0.29.9-1+9.3 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 209429 Depends: libc6 (>= 2.34), libgcc-s1 (>= 4.2), libgit2-1.5 (>= 1.5.0), libpython3.11 (>= 3.11.0), libqt5core5a (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.11.1), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.14.1), libqt5printsupport5 (>= 5.10.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.15.1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby3.1 (>= 3.1.2), libstdc++6 (>= 11), zlib1g (>= 1:1.1.4) Filename: i386/klayout_0.29.9-1+9.3_i386.deb Size: 38703488 MD5sum: 3e8c1ead328da61f93fd62576bf9c356 SHA1: c69564b96716d6eeaefdb61d2451e7e7214049b6 SHA256: af6e39dce0c85d82b2778956fc0f3ab3d224419d03e0d8b68e8728c83aa8db33 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://klayout.de/ Description: Yosys Open SYnthesis Suite Package: klayout Version: 0.29.9-1+9.3 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 203275 Depends: libc6 (>= 2.34), libgcc-s1 (>= 3.0), libgit2-1.5 (>= 1.5.0), libpython3.11 (>= 3.11.0), libqt5core5a (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.11.1), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.14.1), libqt5printsupport5 (>= 5.10.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.15.1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby3.1 (>= 3.1.2), libstdc++6 (>= 11), zlib1g (>= 1:1.1.4) Filename: amd64/klayout_0.29.9-1+9.3_amd64.deb Size: 38806996 MD5sum: 3f6cab5567719ffec544979c420105a5 SHA1: 0c6f7405279ccf39d4cf37d889c465e8721377ba SHA256: 4941f65cbe34f70d9d713b55746bb7e2e0d134ac2d2d6607965aa5c1d65ef77e Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://klayout.de/ Description: Yosys Open SYnthesis Suite Package: klayout-dbgsym Source: klayout Version: 0.29.9-1+9.3 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 117 Depends: klayout (= 0.29.9-1+9.3) Filename: i386/klayout-dbgsym_0.29.9-1+9.3_i386.deb Size: 9564 MD5sum: 7df7abe1a9ea4ff062f5e4b35aedb479 SHA1: d66d58a262678ea92544a7a442ba661b3be54432 SHA256: b1cf512b4bf11c46738ef102f429b6869cd6f9daf4c69a32a53b2b31312e53d5 Section: Science/debug Priority: optional Description: debug symbols for klayout Build-Ids: 12476882f7bae4572906828208e54046c19993ad 1565e0a63f082edbc184b9750b9827acd6b3694b 37bbb51e987501258aeb30f18b6da15858999400 51bc691467d7be3a6c82101b70b6acf4f3d348d8 5880ce4a3b1d95be021fc94006d1381daaa12a59 a55a9fa04c83086f78ece3ca6a99ffddc0e65996 b53049c218a1f876b2964d2214843cae201ec638 c7d60bcb00833cbabd704370f148a01a0624f7b6 c90f960aa375f04c20a13216b417f1f773c1f454 ccf1d8641f5273211e41ca1ef0b3b469dbc6ef49 cd4f81a95c74238226247a65b1d57d0d7b6a07f8 ce8550739fc534ba223ae4ba579cee9185eea407 cf1a69bd60966ce88547a5dad23f022519cc6dce cf2f8efdb732abd5b720bf9e786d2639885f2290 d867165fe1139064fe7ba9cffa9f1c4214e5161b dbc642791546c1ba6557713bfc82c657d335cb15 e3e97d8864b3a694a4744622952fffe8412bf7e2 ea3610c2aaee86969a12ce65e5ba3d466a5c1717 Package: klayout-dbgsym Source: klayout Version: 0.29.9-1+9.3 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 150 Depends: klayout (= 0.29.9-1+9.3) Filename: amd64/klayout-dbgsym_0.29.9-1+9.3_amd64.deb Size: 9588 MD5sum: ef60ecd3f453895292800ad7198730a4 SHA1: 5a29e9906113dcf34c5d85eb25e630687e0bc9ff SHA256: 420ec49fe34e289be8f273e7f88f8bf4ab1d6d6827ea1bc19b716109ee5c26d1 Section: Science/debug Priority: optional Description: debug symbols for klayout Build-Ids: 082ff7711e192f174dda944eff38e1dfe630209f 08cad41371666af357bf9d9775be860440e0dff0 1586f9c18e20f7759f86833bacd909114e45a1fc 1c79045c12ad86b102075bf1e6bd114880705b33 3db2a70af2b06df8e0c988830d8ffd2ed9add697 48a9108c51e1e2e138fa6fb0e853105adee358f3 733295184f5371ada9eadb91ff06e923e50aff09 7f3db578856f7a539af801d7acfa17615c04a0d6 86b6503e363d762b2371a231ba5fde6d45cb177a 86bb1f39ceab73064f882f3282ba4313eddbc354 94bfc6e2923bfc22437d3bc53e25f256ddf6dd4e 9510d75dedf5120f3d9faedc675db061a7d099e3 96aadcd3c57fa115a44bc9881c46e9af55a491b1 a7875c30ab05aefc5dd6d8d9c96319733c84870f c3dfd4f54d8f04700447471d8d97bef66f9691b1 c697e82eb09920cef4319a9a0960eb02bb154a11 e50fc362de2b7471686cde250d4c9fdd95a1bab3 fff2a251f591bee2c343aca7267f465e77ae795b Package: libngspice0 Source: ngspice Version: 44.2-1+1.3 Architecture: i386 Maintainer: mazher iqbal Installed-Size: 2 Filename: i386/libngspice0_44.2-1+1.3_i386.deb Size: 908 MD5sum: 40eb5acab174baa3a0fc59b8fbd68998 SHA1: 3760c51b16b1563584fc96bb178f2a4cc6195bfc SHA256: 5cb10c41379f7782f12c6fc02c0295c91bb65463e81b04d5e94ec7107bf49f08 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0 Source: ngspice Version: 44.2-1+1.3 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 2 Filename: amd64/libngspice0_44.2-1+1.3_amd64.deb Size: 908 MD5sum: 9bf9a92e69a43c75029a3838fbfc9a9b SHA1: e9dbcb9752b5ed09034cf374930ed70c32e2c630 SHA256: 957ba4707107f8ddcfb6d3f448dc463ff8fd6a9669957d43d3694ef1bff96694 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0-dev Source: ngspice Version: 44.2-1+1.3 Architecture: i386 Maintainer: mazher iqbal Installed-Size: 2 Depends: libngspice0 Filename: i386/libngspice0-dev_44.2-1+1.3_i386.deb Size: 920 MD5sum: cf4826427b2ed73f6888d0018579415e SHA1: dcb9bd824289803e5208805b913cf46bf4d497cf SHA256: 639fa4728eebbe935d63db820882f6842729920f57d5905af8c8cb3b2f2783d7 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0-dev Source: ngspice Version: 44.2-1+1.3 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 2 Depends: libngspice0 Filename: amd64/libngspice0-dev_44.2-1+1.3_amd64.deb Size: 924 MD5sum: 2bd06f9088032454202e565f362bc4a7 SHA1: 5b7461e5ae8b8859ea64793d8f952fe921bc6fb7 SHA256: afec4928bd4bb973669bc0fd45526812195e67509f26cfa5253255f54c787675 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: ngspice Version: 44.2-1+1.3 Architecture: i386 Maintainer: mazher iqbal Installed-Size: 2 Filename: i386/ngspice_44.2-1+1.3_i386.deb Size: 940 MD5sum: 4ed4189530d4e5dca0e9d97f9136007b SHA1: f10dff4c1e3e94af6ca927917485a96f1d510a7c SHA256: aa75bd9105bf01e75c318651adaa0a61184eb2b05f9bc444ac593421f3a8edc3 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. NGSpice is a part of gEDA project, a full GPL'd suite of Electronic Design Autiomation tools. Package: ngspice Version: 44.2-1+1.3 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 2 Filename: amd64/ngspice_44.2-1+1.3_amd64.deb Size: 940 MD5sum: 960dc047938d5668b8af16eb8e9cc689 SHA1: 22c32da1047ca30990192f5343c57be4e0e20d90 SHA256: 8ea21332064cd5daa8b91e6cd1fff00b90a983a5747027f4f51b26ee8ac7134a Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. NGSpice is a part of gEDA project, a full GPL'd suite of Electronic Design Autiomation tools. Package: openvaf Version: 23.5.0-1+4.1 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 2 Filename: i386/openvaf_23.5.0-1+4.1_i386.deb Size: 856 MD5sum: 36f8a056c1e185c3ab18d01aea1189c4 SHA1: dac29b1683abdb310a07bcdeed2cb17dfc88738c SHA256: e5c66fc35bbba18f59191aaae6b7870efa2252dfb98f6986986b40b7c461df63 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://openvaf.semimod.de/ Description: OpenVAF is a Next-Generation Verilog-A compiler that empowers the open source silicon revolution. Package: openvaf Version: 23.5.0-1+5.3 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 216501 Depends: libc6 (>= 2.34), libgcc-s1 (>= 4.2), libstdc++6 (>= 4.8) Filename: amd64/openvaf_23.5.0-1+5.3_amd64.deb Size: 36683420 MD5sum: 9db6dcf0cec88465fd92d55a892cf1a0 SHA1: 246b8d2ae1c6286bedddbdfb861dce4356251cdf SHA256: d951835ef43d0c658a0cdd367791f8a9a3c233f183e516476a727f1e4bd07c97 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://openvaf.semimod.de/ Description: OpenVAF is a Next-Generation Verilog-A compiler that empowers the open source silicon revolution. Package: sv2v Version: 0.0.12-1+1.3 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 10048 Depends: libc6 (>= 2.34), libgmp10 (>= 2:6.2.1+dfsg1) Filename: amd64/sv2v_0.0.12-1+1.3_amd64.deb Size: 1466872 MD5sum: 8efaa5961c03e0a9cb77bad9099a74c2 SHA1: abc0a65dd292189e0e943741c52a0e3fa5ee735c SHA256: 56b08639866ddabecec7c613f650e3eed94f77ceb75eb5ffe769688e7a6bef74 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/zachjs/sv2v/ Description: sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs. . The primary goal of this project is to create a completely free and open-source tool for converting SystemVerilog to Verilog. While methods for performing this conversion already exist, they generally either rely on commercial tools, or are limited in scope. . This project was originally developed to target Yosys, and so allows for disabling the conversion of (passing through) those SystemVerilog features that Yosys supports. Package: tas-yagle Version: 3.4.6-1+12.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 32647 Depends: libc6 (>= 2.34), libedit2 (>= 2.11-20080614-0), libgcc-s1 (>= 3.0), libstdc++6 (>= 5), libtcl8.6 (>= 8.6.0), libx11-6, libxm4 (>= 2.3.4), libxpm4, libxt6 Filename: amd64/tas-yagle_3.4.6-1+12.2_amd64.deb Size: 7813036 MD5sum: 69361d6c2de0a4a614e716da139600d7 SHA1: a0e5e432e914c6658e59241185803a92ea48dea2 SHA256: 11ac4fd4a74c0428616ad16a4a661aa477e8647bb8a01c1a732230312fb386ea Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Tas/Yagle STA. Package: tas-yagle-doc Source: tas-yagle Version: 3.4.6-1+12.2 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 16625 Filename: amd64/tas-yagle-doc_3.4.6-1+12.2_amd64.deb Size: 8181840 MD5sum: d384c0165d2fb61e496d9c1a08d4a756 SHA1: 61ee2ca53dd8e45c3f141473c207992b68ac6d40 SHA256: 91ecc490f4b7ec1789871c2e62f6be069f1305492138479f09642d1f9741b2c3 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Documentation & tutorials for Tas/Yagle Package: yosys Version: 0.46-1+21.3 Architecture: i386 Maintainer: Jean-Paul Chaput Installed-Size: 54881 Depends: libc6 (>= 2.35), libffi8 (>= 3.4), libgcc-s1 (>= 4.2), libreadline8 (>= 6.0), libstdc++6 (>= 11), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: i386/yosys_0.46-1+21.3_i386.deb Size: 13257208 MD5sum: 77469ce495c02e27c58c45b7e21ddd3e SHA1: be710b204bfe91d9d6ff764080ad6c7c0488a836 SHA256: e619ebedb7e38005a83acd151d6d314c37abcdb8965655a9f03d2d17d6914413 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://yosyshq.net/ Description: Yosys Open SYnthesis Suite Package: yosys Version: 0.46-1+21.3 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 49776 Depends: libc6 (>= 2.35), libffi8 (>= 3.4), libgcc-s1 (>= 3.4), libreadline8 (>= 6.0), libstdc++6 (>= 11), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: amd64/yosys_0.46-1+21.3_amd64.deb Size: 12792856 MD5sum: 8ad3d6e4499554b4dcf164d8f112a1c6 SHA1: b2555f8b57c9c8d736ebe1c8d68f9419c6332861 SHA256: 9fcb4d1c763945a13fa57b2c6a9c920b0025d94fb34bb0b63a74da61be89d7ab Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://yosyshq.net/ Description: Yosys Open SYnthesis Suite